CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - DA 正弦波

搜索资源列表

  1. DA

    0下载:
  2. DA代码 经测试通过的c8051f020 DA代码 内有锯齿波, 正弦波, 三角波等产生的代码...
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:18009
    • 提供者:qiumh
  1. DA

    0下载:
  2. 采用DAC0832实现数模转换,分别将一组数据转换为锯齿波,三角波,正弦波,在一种波形输出时,按Q键转为输出下一种波形。-used to achieve several DAC0832 mode conversion, respectively, to a group of data conversion for the ramp, triangle wave, sine wave, in a waveform output, according to Q keys under an outpu
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1716
    • 提供者:郭娜
  1. 通过DA转换查表实现正弦波输出

    0下载:
  2. 通过DA转换查表实现正弦波输出,无法调节周期,DA conversion look-up table to achieve through the sine wave output, can not adjust the cycle
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2017-03-21
    • 文件大小:826
    • 提供者:rzofking
  1. DDS

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -the function creat sine wave,trangle wave
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-25
    • 文件大小:14691
    • 提供者:苏诗卧龙
  1. microds

    0下载:
  2. 1、 能够产生正弦波、方波、三角波和锯齿波四种波形;AD9850产生正弦波,单片机控制DA产生正弦波,三角波,锯齿波,方波。 2、 可手动设置输出频率,提供扫频输出功能; 3、 方波:提供PWM波输出,及占空比可调(可调范围2 ~98 ,步进为2 )。 4、 可以通过键盘输入控制单片机产生的波形,在液晶上显示此时输出的波形和频率; 5、 输出频率和手动输入频率误差很小,较高频率的无失真波形。 -can creat sine wave,saw wave,trangle wave
  3. 所属分类:SCM

    • 发布日期:2017-03-28
    • 文件大小:55531
    • 提供者:苏诗卧龙
  1. DA

    0下载:
  2. 波形发生器啊 可以产生方波,锯齿波 三角波 正弦波-Ah waveform generator can produce square wave, sawtooth wave sine triangle
  3. 所属分类:SCM

    • 发布日期:2017-04-05
    • 文件大小:148787
    • 提供者:豪仔
  1. VsinTab正弦波表生成器

    2下载:
  2. 单片机产生正弦波一般采用查表的方法,该软件能够产生8位和12的DA的表值,对单片机开发人员来说很方便。-Single-chip generally have a sine wave look-up table method, the software can produce 8-bit and 12 of the DA' s table value for developers of single-chip easily.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2012-10-30
    • 文件大小:2844
    • 提供者:千里
  1. high_speed_tap8_DDS

    0下载:
  2. 用verilog编写的高速8路并行dds模块,用于与高速da(1ghz或以上)接口产生任意频率正弦波,模块已经经过工程验证,用于产品中。-Verilog prepared with high-speed 8-way parallel dds modules for use with high-speed da (1ghz or above) interface have any frequency sine wave, the module has been proof for the prod
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:4947
    • 提供者:yangyu
  1. DA

    0下载:
  2. TMS320LF2407键盘与DA转换综合控制源码 键盘0,1,2,3分别使得DA转换产生方波,三角波,正弦波,锯齿波。-TMS320LF2407 keyboard with integrated control DA converters 0,1,2,3-source keyboard makes DA conversion separately generated square wave, triangle wave, sine wave, sawtooth wave.
  3. 所属分类:DSP program

    • 发布日期:2017-03-28
    • 文件大小:6222
    • 提供者:baoyu
  1. da

    0下载:
  2. 数模转换,在示波器上显示三种波形(包括正弦波)-Digital-to-analog conversion, in the oscilloscope to show the three waveforms (including the sine wave)
  3. 所属分类:Software Testing

    • 发布日期:2017-04-09
    • 文件大小:1848
    • 提供者:agg
  1. DAC12

    0下载:
  2. MSP430F149单片机DA程序:功能为从DAC通道0输出锯齿波,从通道1输出正弦波。-MSP430F149 single-chip DA process: the functions of DAC channel 0 output from the sawtooth, sine wave output from channel 1.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:28357
    • 提供者:zhaolq
  1. dac0832

    0下载:
  2. DAC0832 DA转换 三角波 正弦波 以及锯齿波 的源程序-DAC0832 DA conversion triangle-wave sine wave, as well as the source sawtooth
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:2492
    • 提供者:liuzhijun
  1. DA0sine0triangle0trape0zoid0square

    0下载:
  2. 用89C51和DAC0832产生正弦、三角、梯形、方波,用按键选择波形输出。附有protues仿真图,keil源程序(汇编),产生正弦波表格的运用程序。-With the 89C51 and the DAC0832 produce sine, triangle, trapezoid, square, with the key option waveform output. With protues simulation map, keil source code (compilation),
  3. 所属分类:assembly language

    • 发布日期:2017-03-25
    • 文件大小:45087
    • 提供者:罗清伟
  1. dds_final

    1下载:
  2. 使用Verilog HDL语言实现的一个DDS,可以发生0-10Mhz正弦波、方波、三角波,频率步进可调,FM调制、AM调制,调制度可调。DA芯片为8位并行,160MHz-Using the Verilog HDL language implementation of a DDS, can occur 0-10Mhz sine, square, triangle wave, frequency step tunable, FM modulation, AM modulation, adjusta
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-09-21
    • 文件大小:1638657
    • 提供者:nostalgia
  1. DA_flash

    0下载:
  2. 本程序适用于F2812,实现DA输出功能,可以输出三角波,方波,正弦波,及固定电平-This procedure applies to F2812, achieving DA output, can output triangle wave, square wave, sine wave, and a fixed level
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:491818
    • 提供者:赵先生
  1. c8051f120

    0下载:
  2. 是一个很好的C8051F120程序实例,主要有ADC12位&UART;ADC&UART;C8051F120UCOS2;DA锯齿波;DA正弦波;int0;IOTEST;pwm8;PWM16;Serial0程序;SPI;TIMER3。-Is a good example of C8051F120 procedures, mainly ADC12 bit & UART ADC & UART C8051F120UCOS2 DA sawtooth DA sine wave int0
  3. 所属分类:SCM

    • 发布日期:2016-01-26
    • 文件大小:21504
    • 提供者:duanjun
  1. DA

    0下载:
  2. DA 转换 函数发生器 正弦波 方波 三角波 可调-DA CHANGE
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:98281
    • 提供者:飞翔
  1. DA

    0下载:
  2. 利用DAC0832的单片机输出正弦波信号,高精度,频率、幅度可调 -DAC0832 microcontroller using the output sine wave signal, high precision, frequency, amplitude adjustable
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-03
    • 文件大小:852
    • 提供者:lidong
  1. sin111

    0下载:
  2. 用ISE与modelsim联合仿真,使用CORDIC算法进行设计,这里使用的是12位的DA,可以生成正弦波,用于在实际电路中生成完整的正弦波(With ISE and modelsim joint simulation, the use of CORDIC algorithm design, used here are 12 DA, can generate sine wave, used in the actual circuit generates a complete sine wave)
  3. 所属分类:其他

    • 发布日期:2017-12-24
    • 文件大小:5099520
    • 提供者:gemini48
  1. DA正弦波三角波输出测试51

    0下载:
  2. 通过改变单片机的DA输出电压,可以得到各种各样的电压波形输出,下面介绍产生正弦波形需送DA的数据是如何计算的。 首先既然是正弦波,那么就要确定要输出一个周期正弦波的采样点数point,即由多少点组成了一周期的正弦波,还要知道单片机输出DA的数字值maxnum是多少,比如 8位DA,maxnum=256。10位DA,maxnum=1024。 知道以上两个值后,就开始计算需要得到的正弦波DA数据了,我设置一个正弦波由61个点组成,所选DA最大数字输入值为1024,那
  3. 所属分类:单片机开发

    • 发布日期:2017-12-27
    • 文件大小:72704
    • 提供者:创客1992
« 12 3 4 5 6 7 »
搜珍网 www.dssz.com